Un processeur SIMT généraliste synthétisable - INRIA - Institut National de Recherche en Informatique et en Automatique Accéder directement au contenu
Communication Dans Un Congrès Année : 2016

Un processeur SIMT généraliste synthétisable

Résumé

Nous présentons Simty, un processeur massivement multi-threadé qui assemble dynamiquement des instructions SIMD à partir de code scalaire multi-thread. Il exécute le jeu d'instructions RISC-V (RV32-I). Contrairement aux processeurs SIMD ou SIMT existants tels que les GPU, Simty accepte du code binaire compilé pour des processeurs généralistes sans nécessiter la moindre extension du jeu d'instructions ni modification du compilateur. Le processeur est décrit en RTL synthétisable. Un prototype sur FPGA valide le passage à l'échelle jusqu'à 64 warps ou 64 threads par warp.
Fichier principal
Vignette du fichier
Collange_Simty_Compas16.pdf (202.31 Ko) Télécharger le fichier
collange_simty_compas16_slides.pdf (643.93 Ko) Télécharger le fichier
Origine : Fichiers produits par l'(les) auteur(s)
Format : Présentation
Commentaire : en anglais

Dates et versions

hal-01345070 , version 2 (07-10-2020)

Identifiants

  • HAL Id : hal-01345070 , version 2

Citer

Caroline Collange. Un processeur SIMT généraliste synthétisable. Compas 2016 - Conférence d’informatique en Parallélisme, Architecture et Système, Jul 2016, Lorient, France. ⟨hal-01345070⟩
362 Consultations
643 Téléchargements

Partager

Gmail Facebook X LinkedIn More