Simty: a Synthesizable General-Purpose SIMT Processor - INRIA - Institut National de Recherche en Informatique et en Automatique Accéder directement au contenu
Rapport (Rapport De Recherche) Année : 2016

Simty: a Synthesizable General-Purpose SIMT Processor

Simty: un processeur SIMT généraliste synthétisable

Résumé

Simty is a massively multi-threaded processor core that dynamically assembles SIMD instructions from scalar multi-thread code. It runs the RISC-V (RV32-I) instruction set. Unlike existing SIMD or SIMT processors like GPUs, Simty takes binaries compiled for general-purpose processors without any instruction set extension or compiler changes. Simty is described in synthesizable RTL. A FPGA prototype validates its scaling up to 2048 threads per core with 32-wide SIMD units.
Nous présentons Simty, un processeur massivement multi-threadé qui assemble dynamiquement des instructions SIMD à partir de code scalaire multi-thread. Il exécute le jeu d’instructions RISC-V (RV32-I). Contrairement aux processeurs SIMD ou SIMT existants tels que les GPU, Simty accepte du code binaire compilé pour des processeurs généralistes sans nécessiter la moindre extension du jeu d’instructions ni modification du compilateur. Le processeur est décrit en RTL synthétisable. Un prototype sur FPGA valide le passage à l’échelle jusqu’à 64 warps ou 64 threads par warp.

Mots clés

Fichier principal
Vignette du fichier
RR-8944.pdf (794.47 Ko) Télécharger le fichier
Origine : Fichiers produits par l'(les) auteur(s)
Loading...

Dates et versions

hal-01351689 , version 2 (07-10-2020)

Identifiants

  • HAL Id : hal-01351689 , version 2

Citer

Caroline Collange. Simty: a Synthesizable General-Purpose SIMT Processor. [Research Report] RR-8944, Inria Rennes Bretagne Atlantique. 2016. ⟨hal-01351689⟩
572 Consultations
1320 Téléchargements

Partager

Gmail Facebook X LinkedIn More