Scripts Use for the Synthesis and the Simulation of VHDL Circuits and Evaluation of the Power Consumption - INRIA - Institut National de Recherche en Informatique et en Automatique Accéder directement au contenu
Rapport (Rapport Technique) Année : 2010

Scripts Use for the Synthesis and the Simulation of VHDL Circuits and Evaluation of the Power Consumption

Romain Michard
  • Fonction : Auteur
  • PersonId : 851858

Résumé

This document presents a way of using scripts for the synthesis or the simulation of VHDL hardware components. Moreover it explains the estimation of the power consumption of such circuits that can be evaluated by XPower. The use of such scripts allows to automate the procedures and to deal with many circuits without repeating the same tasks several times. The steps presented in this document are based on scripts that are published under the GNU GPL, they can be used and modified as wanted by the user.

Domaines

Autre [cs.OH]
Fichier principal
Vignette du fichier
RT-0381.pdf (262.12 Ko) Télécharger le fichier
Origine : Fichiers produits par l'(les) auteur(s)
Loading...

Dates et versions

inria-00453250 , version 1 (05-02-2010)
inria-00453250 , version 2 (15-03-2010)

Identifiants

  • HAL Id : inria-00453250 , version 2

Citer

Romain Michard. Scripts Use for the Synthesis and the Simulation of VHDL Circuits and Evaluation of the Power Consumption. [Technical Report] RT-0381, INRIA. 2010, pp.23. ⟨inria-00453250v2⟩
84 Consultations
1184 Téléchargements

Partager

Gmail Facebook X LinkedIn More