Model-based design for on-chip systems using and extending Marte and IP-XACT - INRIA - Institut National de Recherche en Informatique et en Automatique Accéder directement au contenu
Thèse Année : 2010

Model-based design for on-chip systems using and extending Marte and IP-XACT

Conception basée sur les modèles pour les systèmes sur puce : utilisation et extension de Marte et IP-XACT

Résumé

On-chip systems (also known as System-on-chip or SoC) are more and more complex. SoC design heavily relies on reuse of building blocks, called IPs (Intellectual Property). These IPs are built by different designers working with different tools. So, there is an urgent demand for interoperability of IPs, that is, ensuring format compatibility and unique interpretation of the descriptions. IP-Xact is a de facto standard de fined in the context of electronic system design to provide portable representations of (electronic) components and IPs. It succeeds in syntactic compatibility but neglects the behavioral aspects. UML is a classical modeling language for software engineering. It provides several model elements to cover all aspects of a design (structural and behavioral). We advocate a joint use of UML and IP-Xact to achieve the required interoperability. More specifically, we reuse the UML Pro file for MARTE to extend UML elements with specific features for embedded and real-time systems. MARTE Generic Resource Modeling (GRM) package is extended to add IP-Xact structural features. MARTE Time Model extends the untimed UML with an abstract concept of time, adequate to model at the Electronic System Level. The first contribution of this thesis is the definition of an IP-xact domain model. This domain model is used to build a UML Profile for IP-Xact that reuses, as much as possible, MARTE stereotypes and defines new ones only when required. A model transformation has been implemented in ATL to use UML graphical editors as front-ends for the specification of IPs and to generate IP- xact code. The second contribution addresses the modeling of the IP time properties and constraints. UML behavioral diagrams are enriched with logical clocks and clock constraints using the MARTE Clock Constraint Specification Language (CCSL). The CCSL specification can serve as a golden model for the expected time behavior and the verification of candidate implementations at different abstraction levels (RTL or TLM). Time properties are verified through the use of a dedicated library of observers.
Les Syst emes sur puce (soc) sont de plus en plus complexes. Leur concep- tion repose largement sur la r eutilisation des blocs, appel es ip (Intellectual Pro- perty). Ces ip sont construites par des concepteurs di erents travaillant avec des outils di erents. Aussi existe-t-il une demande pressante concernant l'in- terop erabilit e des ip, c'est- a-dire d'assurer la compatibilit e des formats et l'uni- cit e d'interpr etation de leurs descriptions. ip-xact constitue un standard de facto d e ni dans le cadre de la conception de syst emes electroniques pour fournir des repr esentations portables de composants ( electroniques) et d'ip. ip-xact a r eussi a assurer la compatibilit e syntaxique, mais il a n eglig e les aspects comportemen- taux. uml est un langage de mod elisation classique pour le g enie logiciel. Il four- nit des el ements de mod ele propres a couvrir tous les aspects structurels et com- portementaux d'une conception. Nous pr^onons une utilisation conjointe d'uml et d'ip-xact pour r ealiser la n ecessaire interop erabilit e. Plus pr ecis ement, nous r eutilisons le pro l uml pour marte pour etendre uml avec des caract eristiques temps r eel embarqu ees. Le paquetage Mod elisation G en erique de Ressources de marte est etendu pour prendre en compte des sp eci cit es structurelles d'ip- xact. Le Mod ele de temps de marte etend le mod ele atemporel d'uml avec le concept de temps logique bien adapt e a la mod elisation au niveau syst eme electronique. La premi ere contribution de cette th ese est la d e nition d'un mod ele de do- maine pour ip-xact. Ce mod ele de domaine est utilis e pour construire un pro l uml pour ip-xact qui r eutilise autant que possible les st er eotypes de marte et en d e nit de nouveaux uniquement en cas de besoin. Une transformation de mod ele a et e mise en uvre dans ATL permettant d'utiliser des editeurs graphiques uml comme front-end pour la sp eci cation d'ip et la g en eration des sp eci cations ip- xact correspondantes. Inversement, des chiers ip-xact peuvent ^etre import es dans un outil uml par une autre transformation de mod eles. La deuxi eme contribution porte sur la mod elisation de propri et es et de con- traintes temporelles portant sur des ip. Les diagrammes comportementaux d'uml sont enrichis avec des horloges logiques et des contraintes d'horloge exprim ees dans le langage de speci cation de contraintes d'horloge (ccsl) de marte. La sp eci cation ccsl peut alors servir de mod ele de r ef erence pour le com- portement temporel attendu et la v eri cation des impl ementations a di erents niveaux d'abstraction (rtl ou tlm). Les propri et es temporelles sont v eri ees en utilisant une biblioth eque sp ecialis ee d'observateurs.

Mots clés

Fichier principal
Vignette du fichier
ThesisAamir2010.pdf (3.15 Mo) Télécharger le fichier
Loading...

Dates et versions

tel-00834283 , version 1 (14-06-2013)

Identifiants

  • HAL Id : tel-00834283 , version 1

Citer

Aamir Mehmood Khan. Model-based design for on-chip systems using and extending Marte and IP-XACT. Embedded Systems. Université Nice Sophia Antipolis, 2010. English. ⟨NNT : 2010NICE4002⟩. ⟨tel-00834283⟩
774 Consultations
835 Téléchargements

Partager

Gmail Facebook X LinkedIn More