Modélisation en langage VHDL-AMS des systèmes pluridisciplinaires - Université Toulouse III - Paul Sabatier - Toulouse INP Accéder directement au contenu
Thèse Année : 2007

Modélisation en langage VHDL-AMS des systèmes pluridisciplinaires

Résumé

This PhD work is focusing on heterogeneous system modeling issues with the involvements from the French research laboratory LAAS-CNRS and the company Mentor Graphics. The work is part of a well defined design process based on EIA-632 recommendations and on model driven engineering. The objective is to demonstrate how behavioral language such as VHDL-AMS can answer to the modeling and the simulation problems related to the physical design under EIA-632 recommendations. The thesis starts from the state of the art of system design modeling requirements, and follows by evaluation of different modeling languages. VHDL-AMS standard (IEEE 1076.1-1999) has been selected for being best physical solution available. In order to migrate from proprietary standards to VHDL-AMS, our work focuses on defining appropriate methodology. Our process flow is based on the model driven engineering where model transformation concept is being used. We use the transformation concept to converging to VHDL-AMS code. We also use the meta model concept to create the VHDL-AMS meta model which will be useful for checking the conformity and for defining transformation rules at the meta model level. Companies that are looking for solutions to migrating from proprietary models such as MAST to VHDLAMS provide real test cases to our transformation methodology. We also compare such approach to a preliminary methodology based on using modeling expertise in both source and target languages. This highlights the feasibility of such transformation with a possible semi-automation and with experts involved at particular steps. Several models have been created to validate VHDL-AMS as virtual prototyping modeling language. In particular, a model for heterogeneous firing system of a pyrotechnic charge dedicated to an onboard missile location has been developed from specifications. It is an important device for our perspective projects.
Ce travail de thèse porte sur la problématique d'élaboration de modèles de systèmes hétérogènes. Il a associé le laboratoire de recherche LAAS-CNRS et la société MENTOR GRAPHICS. Il prend place au sein d'un processus de conception qui se fonde sur les recommandations de l'EIA-632 et sur une ingénierie guidée par les modèles. L'objectif de notre travail est de montrer en quoi le langage VHDL-AMS est adapté à la problématique de modélisation et de simulation de la solution physique au sens des recommandations de l'EIA-632. Dans un premier temps, ce manuscrit présente un état de l'art sur les besoins en modélisation pour la conception système, et dresse un bilan sur les différents langages de modélisation susceptibles d'y répondre. Afin de proposer la norme VHDL-AMS (IEEE 1076.1-1999) comme solution, notre travail s'est attaché à présenter et proposer une méthode à mettre en oeuvre pour converger vers cette norme. Notre démarche s'appuie sur l'ingénierie guidée par les modèles avec une place prépondérante jouée par les transformations de modèle. Nous avons développé ce concept de transformation en vue d'une convergence vers le VHDL-AMS : nous développons la notion de meta modèle avec, entre autre, la création d'un meta modèle du langage VHDL-AMS. Celui-ci va permettre une vérification de la conformité des modèles créés, mais aussi l'écriture de règles de transformations au niveau meta modèle. L'intérêt des industriels possédant un existant de modèles écrits dans un langage de description de matériel propriétaire autre (par exemple le langage MAST) en vue d'une migration vers la norme VHDL-AMS, nous a permis d'éprouver cette méthodologie dans de nombreux cas concrets. Nous avons aussi comparé cette approche à une méthodologie que nous avions précédemment définie, nécessitant une expertise dans les deux langages source et cible. Cela nous a permis de conclure positivement sur la faisabilité d'une telle transformation avec une semi-automatisation et une expertise encore n écessaire à certaines étapes. A titre de démonstration, nous avons développé de nombreux modèles mixtes confirmant les aptitudes du VHDL-AMS à pouvoir être le support principal du prototypage virtuel, ainsi que la validité de notre méthode de transformation. Nous avons notamment réalisé la modélisation VHDL-AMS d'un système très hétérogène de mise à feu d'une charge pyrotechnique, qui valide notre méthodologie. La validation des modèles en conformité avec les spécifications est une des perspectives identifiées de nos travaux, à approfondir.
Fichier principal
Vignette du fichier
These_GUIHAL_Biblio.pdf (3.66 Mo) Télécharger le fichier
Loading...

Dates et versions

tel-00157570 , version 1 (26-06-2007)

Identifiants

  • HAL Id : tel-00157570 , version 1

Citer

David Guihal. Modélisation en langage VHDL-AMS des systèmes pluridisciplinaires. Micro et nanotechnologies/Microélectronique. Université Paul Sabatier - Toulouse III, 2007. Français. ⟨NNT : ⟩. ⟨tel-00157570⟩
647 Consultations
4618 Téléchargements

Partager

Gmail Facebook X LinkedIn More